Jump to content

YogurtYoda

Members
  • Posts

    1
  • Joined

  • Last visited

  • Days Won

    3

YogurtYoda last won the day on August 26 2019

YogurtYoda had the most liked content!

Recent Profile Visitors

The recent visitors block is disabled and is not being shown to other users.

YogurtYoda's Achievements

Rookie

Rookie (2/14)

  • Week One Done
  • One Month Later
  • One Year In

Recent Badges

5

Reputation

  1. For those like me who keep getting this error: Error getting data: condition must have a maximum duration. Consider using removeLongerThan() to apply a maximum duration. Click the wrench icon to add a Maximum Capsule duration. The resolution seemed to make sense to apply removeLongerThan() or setMaximumDuration() to the signal, but the correct answer is to set it to the capsule. For example, this is the incorrect formula I attempted. $series.aggregate(maxValue(), $capsules, endKey(), 0s) Here is the resolution: $series.aggregate(maxValue(), $capsules.setMaximumDuration(40h), endKey(), 0s) or $series.aggregate(maxValue(), $capsules.removeLongerThan(40h), endKey(), 0s) Hope this helps others who didn't have luck searching this specific alarm previously.
×
×
  • Create New...